본문 바로가기
주식

디스플레이/반도체/태양광 관련 용어 설명

by 지식 대장장이 2024. 3. 7.
728x90
728x90

디스플레이/반도체/태양광 소재·재료 등 관련 용어 의미

728x90

디스플레이/반도체/태양광 관련 용어 설명

 

용어 내용
유기금속 화합물 유기물 분자와 금속원자가 결합하여 만들어진 화합물(케미칼)이며 산업적 응용성을 강조하여 유기금속 소재라고 한다. 유기금속화합물의 물리적 성질과 화학적 반응성을 잘 이용하면 특정 금속막 제조를 위한 원료로도 사용이 가능하여 금속 또는 금속화합물의 전달물질로서 기능을 갖게 할 수 있는 특징이 있다.
리간드(Ligand) 착물(錯物) 속에서 중심원자에 결합되어 있는 이온 또는 분자의 총칭이다.
배위자(配位子)라고도 한다. 예를 들면, [Co(NH3)6]Cl3, K3[FeCl6], [Cu(NH2CH2COO)2] 등에 있어서 암모니아(NH3), 염화이온(Cl-), NH2CH2COO- 등은 각각 중심원자인 Co3+, Fe3+, Cu2+ 등과 결합된 이온 또는 분자로서 리간드가 되고 있다.
전구체(前驅體, Precursor) 어떤 물질에 선행되는 물질을 의미하며 화학이나 생명과학, 전자소재 등 다양한 분야에서 사용되는 용어이다. 전구체는 박막을 증착하기 위한 화학증착 공정용 원료로 증착을 원하는 주요 원자를 중심으로 기화특성을 향상시키기 위한 리간드(Ligand)로 결합되어 있는 구조를 가지고 있다. 특히 전자소재 분야에서 반도체나 LED 분야에 많이 사용되고 있으며 CVD전구체, ALD전구체, MO전구체, Cu전구체, Al전구체 등 다양하게 사용된다.
메탈로센 컴파운드 시클로펜타디엔(C5H5) 고리 2개와 각종 전이 금속으로 구성되어 있는 비스시클로-펜타디엔일 착염 (C5H5)2M의 총칭이다. 대표적인 화합물은 페로센 (C5H5)2Fe인데, 그 외에 M=Ti, V, Cr, Mn, Co, Ni, Mo, Ru, Rh, Lu, Ta, W, Os, Ir 등의 메탈로센이
알려져 있다. 올레핀 폴리머 중합 촉매로 주로 사용되는 Ti, Zr, Hf의 메탈로센 화합물을 의미한다.
촉매(Catalyst) 화학반응에서 반응속도를 조절해 주는 물질로 반응속도를 빠르게 해 주는 촉매를 정촉매라 하며, 반응속도를 느리게 해 주는 촉매를 부촉매 또는 억제제라고 한다. 그러나 일반적으로 촉매라고 하는 것은 정촉매를 의미하는 경우가 많다.
조촉매 촉매의 활성을 높이거나 촉매에 의해 일어나는 반응을 규제 또는 변화시키기 위해 첨가하는 물질로 공촉매라고도 한다. 일반적으로 메탈로센 컴파운드로는 중합 촉매로서 활성이 없기 때문에, 메틸알루미녹산(MAO)과 같은 조촉매의 작용에 의하여 각종 올레핀(olefin) 중합에 활성을 갖는 촉매계를 형성한다.
MAO 메틸알루미녹산, Methylaluminoxane의 약자이며 Al과 O이 주쇄를 이루는 일종의 알루미늄 무기 고분자 (-Al(CH3)-O-)n로 메탈로센 조촉매로 사용된다.
Polymer(중합체, 폴리머) 분자가 중합하여 생기는 화합물로 중합체라고 하며, 단위체(單位體: monomer)에 대응하는 말이다. 중합체 구조에는 사슬 모양 중합체, 다리걸침중합체나 그물 모양 중합체 등이 있다.
캐니스터(Canister, Bubbler) 유기금속 화합물을 저장 및 사용할 수 있도록 특별하게 제작된 Packaging 용기로 제품의 특성과 고객사의 장비 종류에 따라 크기와 모양 및 밸브 종류와 위치 등이 다양하게 설계된다.
자연발화성 물질/금수성 물질 자연발화성물질이란 공기 중에서 발화의 위험성이 있는 것을 말하며, 금수성물질 이란 물과 접촉하여 발화하거나 가연성 가스를 발생시킬 위험성이 있는 물질을 말한다.
반도체 화학 소재(반도체 재료) 반도체 소자를 직접 구성하는 재료, 소자를 완성하기 위한 제조공정 중에 사용되는 재료, 반도체 소자를 조립하여 완성품인 반도체 칩을 만드는데 사용되는 재료를 모두 지칭한다. 웨이퍼, 화학증착공정(CVD)전구체, 도포막, 스퍼터링, 타겟, 도금액 등의 기능재료가 있으며, 포토마스크, 포토레지스트(Photoresist), 화학약품, 공정가스 화학적기계연마(CMP)슬러리, 화학적기계연마패드 등의 공정재료, 인쇄회로기판 (PCB), 리드프레임, 본딩와이어, 봉지재, 솔더, 언더필, 웨이퍼접착제 등 구조재료로 분류된다.
스퍼터링(Sputtering) 집적회로 생산라인 공정에서 많이 쓰이는 진공 증착법의 일종으로 비교적 낮은 진공도에서 플라즈마를 이온화된 아르곤 등의 가스를 가속하여 타겟에 충돌시키고, 원자를 분출시켜 웨이퍼나 유리기판상에 막을 만드는 방법.
Target(타겟) Sputtering 방법으로 웨이퍼 표면에 금속 박막을 입힐 때 사용되는 금속 원재료로 주로 Ti, Al, W, Ta, Cu 들이 사용된다.
포토마스크(Photomask) 리소그래피(Lithography)를 할 때에 기판에 도포된 포토레지스트에 패턴을 주기 위해 노광시, 빛의 차폐용 패턴을 말함.
CVD(Chemical Vapor Deposition) 화학증착공정(CVD)은 웨이퍼에 박막을 증착하고자 반응기 내에 여러 종류의 반응 기체를 유입시켜 이들의 화학반응을 진행함으로써 원하는 물질의 박막을 웨이퍼 상에 증착하는 공정방법임. CVD전구체는 물질의 종류와 용도에 따라 Gate/Capaci tor 유전막, 금속전극, 고유전율 절연막, 저유전율 절연막 등으로 사용되며 반도체 소자의 미세화 및 3차원 소자구조에 따른 CVD증착의 중요성 증가에 따라 기술개발 요구가 증가하고 있다.
ALD(Atomic Layer Deposition) 원자층 증착법으로 ALD 금속이 포함된 원료와 반응 가스를 교차하여 주입함으로써 박막을 성장시키는 프로세스를 말하며, 나노 스케일의 두께 제어가 가능하고 우수한 단차 도포성과 균일한 피복성을 지닌 박막을 성장시킬 수 있는 증착법으로 차세대 메모리반도체 소자인 DRAM, Flash Memory, RRAM 및 PRAM에 핵심 증착 기술로 응용되고 있다.
ALD 전구체 ALD 전구체는 반도체 소재로 사용되는 유기금속 화합물로 원자기상증착(ALD)에 사용되는 전구체이며, 기질 표면에서의 화학적 흡착과 탈착과정을 이용하여 단원자 층의 두께 제어가 가능한 새로운 개념의 증착방법으로 낮은 온도에서 단결정으로 박막을 성장시키며, 원자층 제어가 가능하다는 특·장점을 가지고 있다.
CMP 슬러리 반도체 표면을 화학적 또는 기계적 방법으로 연마하여 평탄화 하는 CMP공정에 사용되는 연마 재료로 화학첨가물을 포함한 수용액과 미립자로 분산된 연마입자로 구성되어 있다.
포토레지스트(Photoresist) 설계된 반도체 회로를 웨이퍼 위에 전사시킬 때 빛의 조사여부에 따라 달리 감응함 으로서 미세회로 패턴을 형성할 수 있도록 하는 노광공정용 감광재료로 반도체 칩 및 TFT-LCD 등에 사용된다.
Strip 웨이퍼(wafer)에 입혀진 P.R이나 질화막 등을 벗겨내는 것을 말하며 통상, 식각완료 후 감광액을 제거하는 작업을 뜻함.
Stripper 감광액을 벗겨내는 약품.
확산방지막(Diffusion Barrier) 확산방지막은 금속배선 형성 시 금속원소나 타 불순물 원소가 절연막으로 확산되어 오염되는 것을 방지하기 위한 용도로 사용되며, 이를 위해 Ti(N), Ta(N), Ru 확산방지막용 전구체 등이 사용된다.
LED(Light Emitting Diode) p-n접합 다이오드의 일종으로, 순방향으로 전압이 걸릴 때 단파장광이 방출되는 현상인 전기발광효과를 이용한 반도체 소자이다. 쉽게 말해, 순방향으로 전기신호가 인가되면 빛을 발산하는 화합물 반도체의 일종으로 발광 다이오드라고 부르기도 한다.
다이오드(Diode) 전류가 한쪽 방향으로만 흐르는 2단자 소자.
Substrate(기판) 반도체 재료 박판(기판)을 말하며, 일반적으로 최초 공정 이전의 Wafer를 말함.
에피(Epi) 에피(Epi)라는 말은 에피택셜(Epitaxial)의 줄임말로 같은 결정구조로 2개의 층을 만드는 것을 의미함.
웨이퍼(Wafer) 규소박판으로 규소(Si)를 고순도로 정제하여 결정시킨 후 얇게 잘라낸 것으로 반도체 소자를 만드는데 사용함. (2, 4, 5, 6, 8, 12" wafer가 있음) 기판 물질의 종류에 따라 실리콘(Si)웨이퍼, 게르마늄(Ge)웨이퍼, SOI(Silicon on Insulator)웨이퍼, GaN
(Gallium Nitride)웨이퍼, SiC(Silicon Carbide)웨이퍼 등으로 분류된다.
에피(Epi)웨이퍼 기판 위에 유기금속 화학증착장비(MOCVD)를 이용해 유기금속화합물을 얇게 증착 시킨 것.
Amorphous(비정질) 어떤 고체가 반복적 원자 배열 상태인 결정을 이루지 않고 있는 상태 즉 비정질 상태를 말하며, Silicon의 경우 제한된 생성 조건을 만들어 주면 비정질 상태가 될 수 있음.
트리메틸갈륨(TMG) 유기물 중에서 가장 간단한 메탄(CH4)에서 수소가 하나 부족한 것을 메틸기(-CH3)라고 하며 메틸기 3개를 갈륨(Ga) 한 개의 원자와 결합시킨 것을 트리메틸- 갈륨(Ga(CH3)3, Trimethyl Gallium, TMG)이라고 한다. LED 에피웨이퍼 공정에 사용 되는 핵심 소재이다.
트리메틸알루미늄(TMA) 유기물 중에서 가장 간단한 메탄(CH4)에서 수소가 하나 부족한 것을 메틸기(-CH3)라고 하며 메틸기 3개를 알루미늄(Al) 한 개의 원자와 결합시킨 것을 트리메틸- 알루미늄(Al(CH3)3, Trimethyl Aluminium, TMA)이라고 한다. TMA는 LED와 반도체, Solar등 대부분의 전자재료 외에 석유화학 촉매나 정밀화학 시약 등 거의 모든 산업 분야에 사용된다. 당사의 TMG를 제조하는데 원료로 사용된다. TMA는 초민감성 물질이라 전문적인 지식과 경험을 가진 기술자가 아니면 취급이 어려우며 제조는 더욱 어려워 전세계적으로 당사를 포함하여 오직 3개 업체에서만 제조되고 있는 물질이다.
유기금속화학증착(MOCVD) (Metal-Organic Chemical Vapor Deposition) MOVPE라고도 부르며 Epi층을 성장시키 는 장비 원리는 성장에 필요한 Source를 함유한 기체를 열 분해시켜 반응물질을 기판에 달라붙게 하는 것으로서 통상적으로 원자5층 이하의 두께까지 조정 가능함.
MO Source 금속 유기화합물로 박막 증착 장비의 챔버(Chamber) 안에서 가열 된 기판 표면에 증기압이 높은 금속유기화합물 증기를 보내어 원하는 박막 성장시키는 데 사용함.
디스플레이 소재 디스플레이는 다양한 정보를 인간이 볼 수 있도록 화면에서 구현해 주는 영상 표시장치를 통칭하는 것으로 디스플레이 소재는 디스플레이와 관련된 소재 부품을 의미
패널의 제작 방식에 따라 LCD, LED, OLED, 플렉시블(Flexible) 디스플레이, 신기능 디스플레이, 융복합 디스플레이 등으로 구분된다.
OLED(유기발광소자) OLED는 유기발광소자(Organic Light Emitting Diodes)를 뜻하는 말로서, 유기물을 이용한 자체발광소자를 뜻함. OLED는 사용하는 발광재료에 포함된 유기물질의 양에 따라서 저분자 OLED와 고분자 OLED로 구분되며, 구동방식에 따라서는 수동형 구동방식(passive matrix)과 능동형 구동방식(active matrix)으로 구분된다.
플렉시블(Flexible) 디스플레이 플라스틱 등 유연한 재료를 사용하여 기능의 손실 없이 자유롭게 구부릴 수 있거나 혹은 두루마리처럼 말거나 접을 수 있는 형태의 디스플레이를 의미함. 플렉시블 디스플레이는 얇고 가벼우며 깨지지 않는 장점이 있어 형태를 변형시키는 방법으로 웨어러블 디스플레이, 자동차용 디스플레이 등 다양한 수요처에 적용될 수 있음.
TFT(Thin Film Transistor) 디스플레이의 기본 단위인 픽셀(Pixel)을 제어하는, 일종의 스위치 역할을 담당하는 반도체 소자로 a-Si(Amorphous Silicon)비정질 실리콘 TFT, LTPS(Low Temperature Poly Silicon)저온 폴리 실리콘 TFT, Oxide TFT로 분류된다.
MOS
(Metal Oxide Semiconductor)
반도체 위에 산화막을 형성하고 그 위에 도선(금속)을 입힌 것으로, N-MOS와 P-MOS 등이 있음.
MOS FET(Field Effect Transistor) 전계효과 Transistor 중에서 절연막을 산화막으로 형성시킨 절연 게이트형 FET의 대표적인 것.
Multi-Chip 복합소자나 집적회로(IC)를 만들 때 2개 이상의 Chip을 사용한 것.
게이트 전극(Gate electrode) MOSFET 구조에서 활성층의 전류를 흐르거나 흐르지 않게 조절하는 요소.
게이트 절연막(Gate dielectric) 게이트(Gate) 전극과 활성층을 분리해주는 역할을 하는 요소.
봉지(Encapsulation) OLED에서 빛을 내는 유기물질과 전극은 산소와 수분에 매우 민감하게 반응해 발광 특성을 잃기 때문에, 이를 차단하기 위한 공정으로 ‘봉지’가 필요하며, 이를 통해 OLED 패널의 수명을 보존 또는 향상시킴.
마이크로 LED 크기가 5~30 마이크로미터에 불과한 초소형 LED칩을 발광 화소로 사용하는 2인치 이하 마이크로 디스플레이 혹은 80인치 이상 대형 디스플레이를 통칭.
양자점 LED (Q-LED) 수 나노미터 크기 이하의 초미세 반도체 나노 입자로 정의되는 양자점(Quantum Dot, QD)을 발광체로 이용한 LED로 발광 효율이 우수하고 발광 파장의 조절이 용이하며 색 순도가 높은 것이 특징임. 양자점 LED는 디스플레이 제작에 큰 영향을 주는 기술로, 중국이나 미국 등의 LCD 및 OLED 기술 격차가 좁아지는 상황에서 양자점 LED는 AMOLED 의 뒤를 이을 수 있는 차세대 디스플레이용 핵심 기술로 평가받고 있음.
D-RAM
(dynamic random access memory)
Capacitor의 정전용량을 이용한 Cell모양의 Device. D-RAM은 램(RAM)의 한 종류로 저장된 정보가 시간에 따라 소멸되기 때문에 주기 적으로 재생시켜야 하는 특징을 가지고 있으며, 구조가 간단해 집적이 용이하므로 대용량 임시기억장치로 사용된다.
낸드 플래시메모리
(Nand Flash Memory)
전원 공급이 없으면 정보를 모두 잃어버리는 휘발성 메모리 반도체인 D램, S램과 달리, 플래시메모리는 전원이 꺼지더라도 저장된 데이터를 보존하는 롬(ROM)의 장점과 손쉽게 데이터를 쓰고 지울 수 있는 램(RAM)의 장점을 동시에 지니는 비휘발성 메모리이다. 플래시메모리는 전력 소모가 적고 기존의 자기디스크에 비해 고속으로 읽기 및 쓰기가 가능하다. 이러한 특징으로 인해 디지털 카메라, 스마트폰 휴대전화, USB 드라이브 등의 다양한 휴대용 기기와 SSD(Solid State Drive)에 널리 사용되고 있다.
화합물 반도체 Si, Ge등 단일 원소가 아닌 2종류 이상의 원소가 결합하여 반도체 성질을 나타내는 화합물을 이용한 반도체로 발광다이오드와 태양전지, 고속IC 등에 사용된다.
다양한 원소의 조합방법과 조성비를 조달해서 목적에 상응하는 여러가지 화합물 반도체를 만드는 방법을 포함하며, 갈륨-비소(GaAs), 인듐-인(InP), 갈륨-인(GaP),  황화카드뮴(CdS), 텔루르화 아연(ZnTe), 황화연(PbS) 화합물 반도체등을 포함한다.
전력 반도체 전력이 필요한 기기/시스템의 작동여부 및 성능을 결정짓는 핵심부품이며 전력변환 전력변압, 전력안정, 전력분배 등 전력을 제어하기 위한 반도체.
DPT(Double Patterning Tech)재료 DPT재료는 반도체 패터닝용 희생막의 원료로 사용되는 물질이다. 빛을 조사하여 (노광) 현상(development)을 끝낸 감광액(PR)을 측면에서 바라보면 기둥 모양이다. 기둥 사이로 가스(건식)나 액체(습식)를 흘려 패턴을 새긴다. (식각)기둥 간격이 좁을수록 더 미세한 선폭 구현이 가능하다. DPT재료와 HCDS는 V낸드와 D램 공정 모두에 사용된다.
Trench 용법 기억소자에서 콘덴서를 만드는 FAB공정기술중의 하나로 좁은 면적에 용량을 극대 화하기 위하여, 도량처럼 Silicon 기판을 아래로 파서 표면적을 확대하여 콘덴서를 만드는 용법.
TSV(Through Silicon Via) D램 칩을 일반 종이 두께의 절반보다 얇게 깎은 뒤 수백 개의 미세 구멍을 뚫어 상단 칩과 하단 칩의 구멍을 전극으로 연결하는 기술.
비아(Via) 두개의 금속을 사용하는 CMOS의 구조에서 두 금속선을 접속시키는 매개.
팹(Fab.) Fabrication facility의 약자로 실리콘 웨이퍼 제조공장을 의미함.
캐패시턴스(Capacitance) 전하를 축적할 수 있는 용량.
캐패시터(Capacitor) 전하를 저장할 수 있는 제품(콘덴서).
Cell(셀) RAM이나 ROM 등 IC의 가장 작은 기억소자를 말하며 보통 Transistor, Capacitor, Resistor 등으로 구성되어 있고 1Bit의 정보를 저장할 수 있다.
Diffusion 농도차에 따라 액체나 기체가 고농도에서 저농도 쪽으로 이동함을 의미함. 확산로 반도체 웨이퍼(Wafer)에 높은 온도를 가해 불순물 B(붕소)나 P(인) 등을 확산 시키는 것을 의미하며 반도체 특성을 결정하기 위한 것임.
Doping(도핑) 반도체 웨이퍼(Wafer)에 불순물을 주입하여 P-type 또는 N-type의 반도체 특성을 만드는 것으로 박막이나 실리콘 기판에 불순물을 주입시켜 전도 특성을 향상시킬 때 사용하는 과정을 도핑(Doping), 불순물을 도펀트(Dopant)라 한다.
Etching(에칭) 식각. 실리콘 웨이퍼(Silicon Wafer)에 필요한 부분만을 남겨놓고 불필요한 부분을 Etchant(Chemical) 또는 Gas로 녹여 내는 제작과정을 의미함.
Gate(게이트) 반도체 장치에서 MOS Transistor에 입력을 가하기 위한 단자로서 Bipolar Transistor 의 Base에 해당하는 단자를 의미함.
Hole(홀) 반도체 속에서 가전자대에 있는 전자의 이동으로 생기는 비어 있는 전자의 준위를 말하며 정공이라고 함.
Notch/Void 일반적으로 재료(기판표면)에 움푹 패인 곳이나 구멍이 뚫린 곳을 말하며 이곳에는 역학적으로 응력이 집중되는 곳이다. 반도체 분야에서 금속막 및 보호막의 유기 적인 관계에 의해 금속배선에서 일어나는 현상으로 금속배선 측벽에 쐐기형상으로 파이는 것을 Notch라 하며, 이것이 성장하여 Hole 모양을 형성한 것을 Void라 한다.
Pattern(패턴) 부품이나 디바이스의 배선 및 그들의 형태나 배치의 조합에 의해서 회로 기능을 구체화시킨 평면도형을 말한다.
Step Coverage(스텝커버리지) 각종 박막이 입혀질 때 평평한 부분에 대해 경사진 단차(Step) 부분의 입혀진 Film thickness 두께 정도의 비를 의미함.
Aspect Ratio(A/R) 박막 증착 후 박막특성을 표현할 때 사용되는 용어로 종횡비를 의미함, [h/w] height/width로 일반적으로 A/R 값이 클수록 증착하기 어렵다.
Stress(스트레스) Wafer의 표면 특정부위에 가해지는 압력이나 힘을 말함.
Silicon Nitride 반도체 표면의 보호막 또는 FAB 공정중 산화, 이온주입 Mask로 사용되는 Si3N4로 구성된 막.
Threshold Voltage(문턱전압) 문턱전압, PN Diode나 MOS트렌지스터에서 어떤 일정 전압이 되었을 때 전류가 흐르게 되는 이 전압을 Threshold Voltage(Vth)라 한다.
Silicon Gate(실리콘게이트) Gate에 금속 대신 다결정 규소 피막을 사용한 것이며, 고속 및 고밀도 집적회로를 제조하기 위한 MOS 기법으로 이용됨.
Oxide Film(산화막) 불순물 확산의 마스크로서도 사용되며 반도체 표면의 보호막으로도 사용될 수 있는 산화막으로서 SiO2가 가장 많이 사용되고 있다.
ONO 구조 Capacitor 전극 사이에 들어갈 절연 물질로 oxide/nitride/oxide의 3층 구조를 형성 하여 단층구조에서 발생할 수 있는 pinhole을 방지하고 breakdown 특성을 항상 시키는 한편 nitride dielectric constant가 oxide에 비해 훨씬 크기 때문에 캐패시턴스(capacitance)를 증가시킨다.
Layer 반도체 IC를 만들기 위해서는 Si-Wafer 위에 순차적으로 층(Insulator, Conductor 등)을 쌓아가면서 Photo/Etch 공정을 거치면서 원하는 Pattern을 형성하게 되는데 이러한 층들을 의미한다.
Passivation 회로가 외부의 먼지, 온도, 습도 및 긁힘으로부터 Damage를 받는 것을 방지하기 위해서 보호층을 입혀주는 공정으로 주로 Plasma CVD Oxide Nitride를 사용하며, PN 접합 표면을 습기나 불순물에 대해서 둔감하게, 즉 불활성으로 하는 방법. Wafer 표면에 외부로부터의 영향을 막기위하여 산화막 및 질화막을 입혀주는 것.
Low-k 재료 반도체 소자 선폭이 미세화됨에 따라 배선간 사이가 협소화 되고 절연막이 두 전극간의 유전체 역할의 기생 캐패시턴스가 형성되어 배선의 전류 흐름 방해 및 소자속도가 저하되게 하여 이를 제어하기 위해 낮은 유전상수의 절연체가 필요함. 일반적으로 4이하의 낮은 유전상수 값을 가진 물질로, 반도체 절연 물질로 쓰이는 산화 실리콘에 비해 향상된 절연 능력을 가지고 있는 유전체 물질을 말한다.
High-k 재료 유전율이 높은 물질을 말한다. 유전율이란 부도체(유전체)이면서도 내부에 전자기파 의 진행을 가능하게 하는 정도를 의미한다. High-k는 전류를 차단하는 것이 주 목적이므로, Gate-Insulator에 SiO2보다 높은 ε값을 갖는 물질을 사용한다.
Laser Repair(레이져 리페어) 반도체 제품에서 Data 저장소의 일부(혹은 회로일부)가 제조공정에 의해 그 기능을 제대로 발휘하지 못할 경우 LASER를 사용해서 일정부분의 회로를 끊어 다른 Data 저장장소(혹은 다른회로)로 대체하여 제품기능에 이상 부위를 정상으로 동작할 수 있도록 회로를 교체해 주는 것.
Interconnection 일련의 BEOL(Back end of line) 공정단계(금속배선과 유전층에 의한 절연공정을 반복 해서 진행)를 통해 다층의 금속배선을 형성하는 것.
FEOL(front end of line) 공정 실리콘 에피텍시층 위에 MOSFET 트렌지스터를 형성하는 공정단계.
BEOL(back end of line) 공정 MOSFET 트렌지스터 위에 Interconnection을 위한 금속배선 및 입출력 단자를 형성 하는 공정단계.
Leakage Current(누설전류) 누설전류라고도 하며 원래 전류가 흐르지 않아야 할 곳에 전류가 흐르는 것을 말한다.
Metallization 반도체 소자와 소자를 전기적으로 상호 연결시켜 주는 것.
Module(모듈) 규칙성과 분리성을 가진 몇개의 부품 또는 소자로 구성되며 어떤 정해진 기능을 다하는 단일 부품 단위로 간주되는 조립회로이다.
Monitoring(모니터링) 공정 흐름을 감시 및 점검하는 업무.
PM(Preventive Maintenance) 장비성능유지 차원에서 설비 문제 및 손상 감소를 위하여 Manufacturing Operation 에서 정례적으로 하는 점검(사전예방정비)
Purge(정화) 불활성 가스(N2, Ar, 기타 Gas)를 불어 넣어 줌으로써 Gas 찌꺼기나 용액 찌꺼기를 빼내는 것을 말함.
QC(Quality Control) 제품 제조의 각 단계별로 제품의 품질을 검사하는 관리기법.
Qual.(Qualification) 반도체 제조의 최종 관문으로 소재의 신뢰성을 테스트하여 만족한 상태를 달성하는 것.
Quality Assurance(Q.A) 품질보증. Product나 Service가 주어진 요구를 만족시킬 것이라는 신뢰를 주기 위해 필요한 모든 계획 또는 활동을 말함.
R&D Research and Development, 연구 개발.
Utility(유틸리티) 공기, 질소, 진공, 급수, 배기, 전원 등 플랜트의 제조공정 활동에 필요한 요소들을 통틀어 일컫는 말.

※ 출처 : 레이크머티리얼즈 분기보고서

728x90

"이 포스팅은 쿠팡 파트너스 활동의 일환으로, 이에 따른 일정액의 수수료를 제공받습니다."


 

오토캐드(AutoCAD)와 대안 프로그램 지스타캐드(GstarCAD) 비교

오토캐드(AutoCAD)와 대안 프로그램 지스타캐드(GstarCAD) 비교 1. 제조사 오토캐드(AutoCAD) : 오토데스크(AUTODESK / 미국) 지스타캐드(GstarCAD) : 지스타소프트(Gstarsoft / 중국) 2. 인터페이스 오토캐드(AutoCAD

share1.tistory.com

  • 지스타캐드(GstarCAD) 가격 견적 / 구매 상담 문의
    고스텍코리아 : 070-8271-7480 / gostech@naver.com
728x90
728x90

댓글